Fix typo in comment.

Thomas Munro
This commit is contained in:
Robert Haas 2016-03-28 20:55:15 -04:00
parent 86c43f4e22
commit bd0f206f55
1 changed files with 1 additions and 1 deletions

View File

@ -383,7 +383,7 @@ SetLatch(volatile Latch *latch)
#endif
/*
* The memory barrier has be to be placed here to ensure that any flag
* The memory barrier has to be placed here to ensure that any flag
* variables possibly changed by this process have been flushed to main
* memory, before we check/set is_set.
*/