postgresql/doc
Alvaro Herrera 4cb7d671fd Add new target modulescheck in vcregress.pl
This allows an MSVC build to run regression tests related to modules in
src/test/modules.

Author: Michael Paquier
Reviewed by: Andrew Dunstan
2015-04-16 23:39:52 -03:00
..
src Add new target modulescheck in vcregress.pl 2015-04-16 23:39:52 -03:00
KNOWN_BUGS Remove extra newlines at end and beginning of files, add missing newlines 2010-08-19 05:57:36 +00:00
MISSING_FEATURES Remove extra newlines at end and beginning of files, add missing newlines 2010-08-19 05:57:36 +00:00
Makefile Remove maintainer-check target, fold into normal build 2013-10-10 20:11:56 -04:00
TODO
bug.template Stamp HEAD as 9.5devel. 2014-06-10 21:36:13 -04:00